FPGA implementation of space vector algorithm

A

Thread Starter

Anonymous

this is my graduate project. i really need help. i know how to programe FPGA. there is a great site describe it in very easy language, but i didn't know much about space vector modulation.
 
Search the Application Notes at the Texas Instruments and Analog Devices DSP web sites. Code is also freely available for their Motor Control processors.
 
please where are the codes or the papers exactly?
i find some useful papers BUT i still can't find my project.
 
You can search TI site for SVPWM. It is very easy. You have to modify the logic fpr implementation with FPGA.

Durga
 
Hi,

Did u implement SVPWM using FPGA, i am working on same lines... could u pls give me a mail on [email protected]
I would like to implement SVPWM using FPGA, Could you please guide me in this regard. I need some basics of SVPWM as well. There are certain doubts in this regard, please give me ur email id. mine is [email protected]

Surya
 
Well you have to do a lot of things, first you have to know Park's transform, sense the output voltage, and later you have only Vref and theta (a vector with properly phasor, ok?). Later you have to choose how you calculate the times in the hexagon, and the duty times (i recomemded as Celanovic). Well, this is the first.

Jose
Spain
 
Hello there, i'm wayne...i'm having the same problem with u all...doing this svpwm in fpga.. using altera apex... has anyone got an ideA?

by the way, how really to modify the logic ?
 
This is ridiculous.. Do you want us to look for you on TI's website and send you the links one by one, or better, to download the PDFs and send 'em to you, or better and better, make the project and send you both the .doc and the model?

- 1st. Go to google and mamke a search, you'll find many papers.

- 2nd. Eead these documents and get familiar with motors, power electronics, PWN, SVPWM...
 
Top